Analysis of Leakage Reduction Technique on Different SRAM Cells

  IJETT-book-cover  International Journal of Engineering Trends and Technology (IJETT)          
  
© 2011 by IJETT Journal
Volume-2 Issue-3                          
Year of Publication : 2011
Authors : Monika Yadav, Shyam Akashe, Dr.Yogesh Goswami
 

Citation

Monika Yadav, Shyam Akashe, Dr.Yogesh Goswami."Analysis of Leakage Reduction Technique on Different SRAM Cells".International Journal of Engineering Trends and Technology (IJETT),V2(3):78-83 Nov to Dec 2011. ISSN:2231-5381. www.ijettjournal.org. Published by Seventh Sense Research Group.

Abstract

Leakage components is very important for estimation and reduction of leakage power, especiall y for low power applications. This provides the motivation to explore the design of low leakage SRAM cells. High leakage currents in deep submicron regimes are becoming a major contributor to total power dissipation of CMOS circuits as the threshold voltag e, channel length and gate oxide thickness are scaled. Memory leakage suppression is critically important for the success of power - efficient designs, especially for ultra - low power applications. As the channel length of the MOSFET reduces, the leakage cur rent in the SRAM increases. One method is to reduce the standby supply voltage (VDD) to its limit, which is the Data retention voltage (DRV), leakage power can be substantially reduced. Also, lower operating voltage will lower the stability of SRAM cell re sulting in lower value of static no ise margin. To reduce the sub - threshold leakage further, an adaptive voltage level (AVL) circuit is added to this cell, which controls the effective voltage across the SRAM cell in inactive mode. Two schemes are employed; one in which the supply voltage is reduced and the other in which the ground potential is increased. CADENCE Simulations are performed with 90nm CMOS technology process file and the leakage currents of all the cells are measured and compared. Simulation r esults revealed that there is a significant reduction in leakage current for this proposed ce ll with the A VL circuit reducing the supply voltage .

References

[1] M.D.Powell, S.H.Yang, B.Falsafi etal.. Gated – VDD:A circuit technique to reduce leakage in cache memories. In proceedings of International Symposium on Low Power El ectronics and Design, July 2000.
[2] Amit Agarwal, Hai Li and Kaushik Roy. DRG Cache: A data retention gated - ground cache for low power. In proceedings of the 34th Design Automation Conference, June 2002 .
[3] Rafik S. Guindi , Farid N. Najm, Design Techni ques for Gate - Leakage Reduction in CMOS Circuits, Proceedings of the Fourth International Symposium on Quality Electronic Design, p.61, March 24 - 26, 2003.
[4] L. Chang et al., “Stable SRAM Cell Design for the 32nm Node and Beyond,” Symp. VLSI Tech. Dig., pp. 292 - 293, Jun., 2005.
[5] Amit Agarwal, Hai Li, and Kaushik Roy, “DRG - Cache: A data retention gated - ground cache for low power”, Proceedings of the 39th Design Automation Conference, June 2002.
[6] Benton H. Calhoun Anantha P. Chandrakasan “A 256 - kb 65 - nm Sub - threshold SRAM Design for Ultra - Low - Voltage Operation”, Solid - State Circuits, IEEE Journal vol. 42, March 2007, Issue 3 , pp.680 - 688
[7] Yeonbae Chung ,Seung - Ho Song , “Implementation of lowvoltage static RAM with enhanced data stability and circui t speed”, Microelectronics Journal vol. 40, Issue 6, June 2009, pp. 944 - 951
[8] Rafik S. Guindi , Farid N. Najm, Design Techniques for Gate - Leakage Reduction in CMOS Circuits, Proceedings of the Fourth International Symposium on Quality Electronic Design, p.61, March 24 - 26, 2003.
[9] B. D. Yang, “A low - power SRAM using bit - line charge - recycling for read and write opera - tions” IEEE journal of solid - state circuits, vol.45, no.10, october 2010, pp.2173 - 2183.
[10] Zhiyu Liu, Volkan Kursun, “ Characterization of a novel Nine Transistor SRAM cell, IEEE Transactions on Very Large Scale Integration Systems,vol.46, Issue 4,April 2008.pp - 488 - 492.
[11] J. Rabaey, A. Chandrakasan, and B. Nikolic, Digital integrated circuits: A Design respective, 2nd ed. Prentice Hall , 2003.
[12] L. Villa, M. Zhang, and K Asanovic, "Dynamic zero compression for cache energy reduction," in Proc. 33rd Int. Symp. Microarchitecture Micro - 33, 2000, pp. 214 - 220.

Keywords
Leakage power, SRAM, leakage reduction techniques