A Comparative Study on 2D and 3D Floorplan Representations in VLSI Physical Design

A Comparative Study on 2D and 3D Floorplan Representations in VLSI Physical Design

  IJETT-book-cover           
  
© 2024 by IJETT Journal
Volume-72 Issue-9
Year of Publication : 2024
Author : Sony Snigdha Sahoo, Prafulla Kumar Behera
DOI : 10.14445/22315381/IJETT-V72I9P108

How to Cite?
Sony Snigdha Sahoo, Prafulla Kumar Behera, "A Comparative Study on 2D and 3D Floorplan Representations in VLSI Physical Design," International Journal of Engineering Trends and Technology, vol. 72, no. 9, pp. 96-103, 2024. Crossref, https://doi.org/10.14445/22315381/IJETT-V72I9P108

Abstract
An important step in designing a chip layout is floorplanning. The location, shape, and size of modules in a chip are represented in the form of a floorplan. A floorplan shows the relative locations of electronic modules on a chip by dividing its core into rectangles.The quality of the chip implementation mostly depends on the floorplan's goodness. Effective placement of the modules and timing and congestion-related issuesare alsodependent on how well organized the floorplan is. Floorplan representation is the output of the floorplan phase and serves as an intermediary between floorplanning and other subsequent phases. Thus, choosing an appropriate floorplan representation is critical for any further implementation. This survey paper discusses and compares the features of various 2D floorplan representationssuch as mosaic floorplan, bounded slice line grid, corner block list, sequence pair, O-tree, binary slicing tree, B* tree,and their respective 3D counterparts.

Keywords
2D and 3D floorplan, B* Tree, Bounded slice line grid, Corner block list, Floorplan representation, O-tree, VLSI design.

References

[1] Tung-Chieh Chen, and Yao-Wen Chang, “Floorplanning,” Electronic Design Automation, pp. 575-634, 2009.
[CrossRef] [Google Scholar] [Publisher Link]
[2] D.F. Wong, and C.L. Liu, “A New Algorithm for Floorplan Design,” 23rd ACM/IEEE Design Automation Conference, Las Vegas, NV, USA, pp. 101-107, 1986.
[CrossRef] [Google Scholar] [Publisher Link]
[3] Shantonu Das, and Dae Hyun Kim, “A Non-Slicing 3-D Floorplan Representation for Monolithic 3-D IC Design,” 20th International Symposium on Quality Electronic Design, Santa Clara, CA, USA, 2019.
[CrossRef] [Google Scholar] [Publisher Link]
[4] Evangeline Fung Y. Young, Chris Chong Nuen Chu, and Cien Shen, “Twin Binary Sequences: A Nonredundant Representation for General Nonslicing Floorplan,” Proceedings of the 2002 International Symposium on Physical Design, vol. 22, no. 4, pp. 457-469, 2002.
[CrossRef] [Google Scholar] [Publisher Link]
[5] Hiroshi Murata, and Ernest Kuh, “Sequence-Pair Based Placement Methods for Hard/Soft/Preplaced Modules,” Proceedings of the 1998 International Symposium on Physical Design, pp. 167-172, 1998.
[CrossRef] [Google Scholar] [Publisher Link]
[6] H. Murata et al., “VLSI Module Placement based on Rectangle-Packing by the Sequence Pair” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 15, no. 12, pp. 1518-1524, 1996.
[CrossRef] [Google Scholar] [Publisher Link]
[7] Hiroyuki Yamazaki et al., “The 3D-Packing by Meta Data Structure and Packing Heuristics,” IEICE TRANSACTIONS on Fundamentals of Electronics, Communications and Computer Sciences, vol. 83, no. 4, pp. 639-645, 2000.
[Google Scholar] [Publisher Link]
[8] Jaiming Lin, and Yao-Wen Chang, “TCG: A Transitive Closure Graph based Representation for Nonslicing Floorplans,” Proceedings of the 38th Annual Design Automation Conference, Las Vegas Nevada USA, pp. 764-769, 2001.
[CrossRef] [Google Scholar] [Publisher Link]
[9] Kunihiro Fujiyoshi, Hidenori Kawai, and Keisuke Ishihara, “A Tree Based Novel Representation for 3D-Block Packing,” IEEE Trans-actions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 5, pp. 759-764, 2009.
[CrossRef] [Google Scholar] [Publisher Link]
[10] M. Kang, and W.W.M. Dai, “General Floorplanning with L-shaped, T-shaped and Soft Blocks based on Bounded Slicing Grid Struc-ture,” Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference, Chiba, Japan, pp. 265-270, 1997.
[CrossRef] [Google Scholar] [Publisher Link]
[11] Jai-Ming Lin, Yao-Wen Chang, “TCG-S: Orthogonal Coupling of P*-Admissible Representations for General Floorplans,” Proceedings of the 39th Annual Design Automation Conference, New Orleans Louisiana, USA, pp. 842-847, 2002.
[CrossRef] [Google Scholar] [Publisher Link]
[12] Jai-Ming Lin, Yao-Wen Chang, and Shih-Ping Lin, “Corner Sequence - a P-admissible Floorplan Representation with a Worst Case Linear-Time Packing Scheme,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems,vol. 11, no. 4, pp. 679-686, 2003.
[CrossRef] [Google Scholar] [Publisher Link]
[13] Pei-Ning Guo, Chung-Kuan Cheng, and T. Yoshimura, “An O-Tree Representation of Non-slicing Floorplan and Its Applications,” Pro-ceedings 1999 Design Automation Conference (Cat. No. 99CH36361), New Orleans, LA, USA, pp. 268-273, 1999.
[CrossRef] [Google Scholar] [Publisher Link]
[14] Pinghung Yuh, Chia-Lin Yang, and Yao-Wen Chang, “T-trees: A Tree-based Representation for Temporal and Three-Dimensional Floorplanning,” ACM Transactions on Design Automation of Electronic Systems, vol. 14, no. 4, pp. 1-28, 2009.
[CrossRef] [Google Scholar] [Publisher Link]
[15] Renshen Wang et al., “3-D Floorplanning Using Labeled Tree and Dual Sequences,” Proceedings of the 2008 International Symposium on Physical Design, pp. 54-59, 2008.
[CrossRef] [Google Scholar] [Publisher Link]
[16] S. Nakatake et al., “Bounded-Slicing Structure for Module Placement,” Technical Report of the Institute of Electronics, Information and Communication Engineers of Japan, vol. 94, pp. 19-24, 1994.
[Google Scholar]
[17] Song Chen et al., “Evaluating a Bounded Slice-Line Grid Assignment in O(nlogn) Time,” 2003 IEEE International Symposium on Circuits and Systems (ISCAS), Bangkok, Thailand, 2003.
[CrossRef] [Google Scholar] [Publisher Link]
[18] X. Hong et al., “Corner Block List Representation and its Application to Floorplan Optimization,” IEEE Transaction on Circuits and Systems II: Express Briefs, vol. 51, no. 5, pp. 228-233, 2004.
[CrossRef] [Google Scholar] [Publisher Link]
[19] Xianlong Hong et al., “Corner Block List: An Effective and Efficient Topological Representation of Non-Slicing Floorplan,” IEEE/ACM International Conference on Computer Aided Design, ICCAD – 2000, IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140), San Jose, CA, USA, pp. 8-12, 2000.
[CrossRef] [Google Scholar] [Publisher Link]
[20] Yun-Chih Chang et al., “B*-Trees: A New Representation for Non-Slicing Floorplans,” Proceedings 37th Design Automation Conference, Los Angeles, CA, USA, pp. 458-463, 2000.
[CrossRef] [Google Scholar] [Publisher Link]
[21] Yuchun Ma et al., “3D CBL: An Efficient Algorithm for General 3D Packing Problems,” 48th Midwest Symposium on Circuits and Sys-tems,Covington, KY, USA, vol. 2, pp. 1079-1082, 2005.
[CrossRef] [Google Scholar] [Publisher Link]
[22] Pinghung Yuh, Chia-Lin Yang, and Yao-Wen Chang, “Temporal Floorplanning using the Three-Dimensional Transitive Closure Sub-Graph,” ACM Transactions on Design Automation of Electronic Systems (TODAES), vol. 12, no. 4, 2007.
[CrossRef] [Google Scholar] [Publisher Link]]
[23] P. Sivaranjani, and A. Senthilkumar, “3D VLSI Non-Slicing Floorplanning Using Modified Corner List Representation,” Indian Journal of Science and Technology, vol. 8, no. 35, pp. 1-6, 2015.
[CrossRef] [Google Scholar] [Publisher Link]